Minimizing peak current in combinational circuit test

  • Mohammad Ghuloom Alfailakawi College of Computing Sciences & Engineering
Keywords: algorithm, test, combinational circuit, low power, optimization, vector ordering

Abstract

In the VLSI era where millions of transistors are packed in a single chip, the demand for proper power management is of a paramount importance. The problem of low power test is taking center stage for both combinational and sequential circuits in recent years due to its impact on overall yield. This paper proposes a technique that targets the reduction of peak current during combinational circuit test to realize peak power reduction. Unlike previous methods, this approach utilizes peak current defined by the direction of switching activity to find minimum peak power.  The proposed framework consists of two main phases where the test set is first reordered using a combined peak current/peak power cost function followed by an x-refilling technique based on Fiduccia-Mattheyses concept to refill unspecified bit values. Experimental results show that the proposed approach reduces peak current, peak power, and total power by 33%, 32%, and 43% respectively compared to Hamming distance-based ordering with random filling.

Author Biography

Mohammad Ghuloom Alfailakawi, College of Computing Sciences & Engineering

Computer Engineering Department

Associate Professor

References

Badereddine, N., Girard, P., Pravossoudovitch, S., Landrault, C., Virazel, A., and Wundcrlich, H. (2006), ‘Minimizing Peak Power Consumption during Scan Testing: Test Pattern Modification with X Filling Heuristics’, in Proceedings of International Conference on Design and Test of Integrated Systems in Nanoscale Technology, 5-7 Sept., pp. 359-364.

Chandrakasan, A., Sheng, T., and Brodersen, R. (1992), ‘Low Power CMOS Digital Design’, IEEE Journal of Solid State Circuits, 27, 473-484.

Chattopadhyay, S., and Choudhary, N. (2003), ‘Genetic Algorithm Based Approach for Low Power Combinational Circuit Testing’, in Proceedings of the 16th IEEE International Conference on VLSI Design, 4-8 Jan., pp. 552-557.

Fiduccia, C.M., and Mattheyses, R.M. (1982), ‘A Linear-Time Heuristic for Improving Network Partitions’, in Proceedings of the 19th ACM/IEEE Design Automation Conference, 14-16 June, pp. 175-181.

Flores, P., Costa, J., Neto, H., Monteiro, J., and Marques-Silva, J. (1999), ‘Assignment and Reordering of Incompletely Specified Pattern Sequences Targeting Minimum Power Dissipation’, in Proceedings of the 12th IEEE International Conference on VLSI Design, 7-10 Jan., pp. 37-41.

Girard, P. (2002), ‘Survey of Low-Power Testing of VLSI Circuits’, IEEE Design and Test of Computers, 19, 82-92.

Girard, P., Landrault, C., Pravossoudovitch, S., and Severac, D. (1997), ‘Reduction of Power Consumption during Test Application by Test Vector Ordering’, Electronics Letters, 33, 1752-1754.

Girard, P., Landrault, C., Pravossoudovitch, S., and Severac, D. (1998), ‘Reducing Power Consumption during Test Application by Test Vector Ordering’, in Proceedings of the IEEE International Symposium on Circuits and Systems, 30 May – June 3, pp. 296-299.

Gu, J., Qu, G., Yuan, L., and Zhou, Q. (2010), ‘Peak Current Reduction by Simultaneous State Replication and Re-Encoding’, in Proceedings of the 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 7-11 Nov., pp. 592-595.

Hashempour, H., and Lombardi, F. (2008), ‘Evaluation and Analysis of Heuristic Techniques for Vector Ordering of VLSI Test Sets’, IEEE Transactions on Instrumentation and Measurement, 57, 1998-2004.

Huang, S., Chang, C., and Nieh, Y. (2006), ‘State Re-Encoding for Peak Current Minimization’, in Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD'06), 5-9 Nov., pp. 33-38.

Huang, S., Chang, C., and Nieh, Y. (2009), ‘Opposite-Phase Register Switching for Peak Current Minimization’, ACM Transactions on Design Automation of Electronic Systems, 14, no. 1, Article 14.

Kao, W.C., Chuang, W.S., Lin, H.T., Li, J.C., and Manquinho, V. (2010), ‘DFT and Minimum Leakage Pattern Generation for Static Power Reduction during Test and Burn-In’, IEEE Transactions on VLSI Systems, 18, 392-400.

Kernighan, B., and Lin, S. (1970), ‘An Efficient Heuristic Procedure for Partitioning Graphs’, Bell Systems Technical Journal, 49, 291-307.

Lee, H., and Ha, D. (1993), On the Generation of Test Patterns for Combinational Circuits, Tech. Rep. 12-93, Dept. of Electrical Eng., Virginia Polytechnic Institute and State University.

Lee, Y., Choi, K., and Kim, T. (2009), ‘SAT-based State Encoding for Peak Current Minimization’, in Proceedings of the IEEE 2009 International SoC Conference, 9-11 Sept., pp. 432-435.

Li, W., Reddy, S.M., and Pomeranz, I. (2004), ‘On Test Generation for Transition Faults with Minimized Peak Power Dissipation’, in Proceedings of the 41st Annual Design Automation Conference, 7-11 June, pp. 504-509.

Li, W., Reddy, S.M., and Pomeranz, I. (2005), ‘On Reducing Peak Current and Power during Test’, in Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 11-12 May, pp. 156-161.

Li, X., Li, H., and Min, Y. (2001), ‘Reducing Power Dissipation during At-Speed Test Application’, in Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 24-26 Oct., pp. 116-121.

Maiti, T.K., and Chattopadhyay, S. (2008), ‘Don't Care Filling for Power Minimization in VLSI Circuit Testing’, in Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS'2008), 18-21 May, pp. 2367-2640.

Paramasivam, K., and Gunavathi, K. (2007), ‘Switching Activity Based Method for Minimizing Testing Power in Digital Circuits’, ECTI Transactions on Electrical Engineering., Electronics, and Communications, 5, 61-69.

Saxena, J., Butler, K.M., Jayaram, V.B., Kundu, S., Arvind, N.V., Sreeprakash, P., and Hachinger, M. (2003), ‘A Case Study of IR-Drop in Structured At-Speed Testing’, in Proceedings of the 2003 IEEE International Test Conference, 30 Sept. – 2 Oct., pp. 1098-1104.

Sokolov, A., Sanyal, A., Whitley, D., and Malaiya, Y. (2005), ‘Dynamic Power Minimization during Combinational Circuit Testing as a Traveling Salesman Problem’, in Proceedings of 2005 IEEE Congress on Evolutionary Computation, 2-4 Sept., Vol. 2, pp. 1088-1095.

Wang, S., Chen, Y., and Li, K. (2007), ‘Low Capture Power Test Generation for Launch-Off-Capture Transition Test Based on Don't-Care Filling’, in Proceedings of the IEEE International

Symposium on Circuits and Systems (ISCAS 2007), 27-30 May, pp. 3683-3686.

Wang, W., Hu, Y., Han, Y., Li, X., and Zhang, Y. (2007), ‘Leakage Current Optimization Techniques during Test Based on Don’t Care Bits Assignment’, Journal of Computer Science and Technology, 22, 673-680.

Published
2015-05-28
Section
Computer Engineering